Skip to main content

TSMC Unveils Innovations at 2021 Online Technology Symposium

TSMC (TWSE: 2330, NYSE: TSM) is unveiling its latest innovations in advanced logic technology, specialty technologies, and TSMC 3DFabric™ advanced packaging and chip stacking technologies at the Company’s 2021 Technology Symposium. Taking place online for a second year, the symposium connects customers with TSMC’s new offerings, including N6RF for next-generation 5G smartphone and WiFi 6/6e performance, N5A for state-of-the-art automotive applications, and enhancements across the range of 3DFabric technologies. Over 5,000 customers and technology partners around the world have registered for our 2021 Technology Symposium, being held from June 1-2.

“Digitalization is transforming society faster than ever as people use technology to overcome the barriers created by the global pandemic to connect, collaborate, and solve problems,” said Dr. C.C. Wei, CEO of TSMC. “This digital transformation has opened up a new world full of opportunities for the semiconductor industry. Our global Technology Symposium highlights many of the ways we are enhancing and expanding our technology portfolio to unleash our customers’ innovations.”

Advanced Technology Leadership – N5, N4, N5A, and N3

TSMC was first in the industry to bring 5 nanometer (nm) technology into volume production in 2020 with defect density improving faster than the preceding 7nm generation. The N4 enhancement to the 5nm family further improves performance, power efficiency and transistor density along with the reduction of mask layers and close compatibility in design rules with N5. TSMC N4 development has proceeded smoothly since its announcement at the 2020 Technology Symposium, with risk production set for the third quarter of 2021.

TSMC is introducing N5A, the newest member of the 5nm family; the N5A process is aimed at satisfying the growing demand for computing power in newer and more intensive automotive applications such as AI-enabled driver assistance and the digitization of vehicle cockpits. N5A brings the same technology used in supercomputers today to vehicles, packing the performance, power efficiency, and logic density of N5 while meeting the stringent quality and reliability requirements of AEC-Q100 Grade 2 as well as other automotive safety and quality standards. TSMC N5A is supported by the flourishing TSMC automotive design enablement platform and scheduled to be available in third quarter of 2022.

TSMC’s N3 technology is poised to be the world’s most advanced technology when it begins volume production in the second half of 2022. Relying on the proven FinFET transistor architecture for the best performance, power efficiency, and cost effectiveness, N3 will offer up to 15% speed gain or consume up to 30% less power than N5, and provide up to 70% logic density gain.

Advanced Radio Frequency Technology for the 5G Era – N6RF

5G smartphones require more silicon area and consume more power to deliver higher wireless data rates compared with 4G. 5G enabled chips integrate more functionality and components and are increasingly growing in size and competing against the battery for a limited amount of space inside the smartphone.

TSMC debuted the N6RF process, which brings the power, performance, and area benefits of its advanced N6 logic process to 5G radio frequency (RF) and WiFi 6/6e solutions. N6RF transistors achieve more than 16% higher performance over the prior generation of RF technology at 16nm. Additionally, N6RF supports significant power and area reduction for 5G RF transceivers for both sub-6 gigahertz and millimeter wave spectrum bands without compromising performance, features and battery life offered to consumers. TSMC N6RF will also enhance WiFi 6/6e performance and power efficiency.

TSMC 3DFabric System Integration Solutions

TSMC continues to expand its comprehensive 3DFabric family of 3D silicon stacking and advanced packaging technologies.

  • For high-performance computing applications, TSMC will be offering larger reticle-size for both its InFO_oS and CoWoS® packaging solutions in 2021, enabling larger floor plans for chiplet and high-bandwidth memory integration. Additionally, the chip-on-wafer (CoW) version of TSMC-SoIC™ will be qualified on N7-on-N7 this year with production targeted for 2022 at a new fully-automated factory.
  • For mobile applications, TSMC is introducing its InFO_B solution, designed to integrate a powerful mobile processor in a slim, compact package with enhanced performance and power efficiency and support mobile device makers’ DRAM stacking on the package.

About TSMC

TSMC pioneered the pure-play foundry business model when it was founded in 1987, and has been the world’s leading dedicated semiconductor foundry ever since. The Company supports a thriving ecosystem of global customers and partners with the industry’s leading process technologies and portfolio of design enablement solutions to unleash innovation for the global semiconductor industry. With global operations spanning Asia, Europe, and North America, TSMC serves as a committed corporate citizen around the world.

TSMC deployed 281 distinct process technologies, and manufactured 11,617 products for 510 customers in 2020 by providing broadest range of advanced, specialty and advanced packaging technology services. TSMC is the first foundry to provide 5-nanometer production capabilities, the most advanced semiconductor process technology available in the world. The Company is headquartered in Hsinchu, Taiwan. For more information please visit https://www.tsmc.com.

Contacts:

TSMC Spokesperson:
Wendell Huang
Vice President and CFO
Tel: 886-3-505-5901

Data & News supplied by www.cloudquote.io
Stock quotes supplied by Barchart
Quotes delayed at least 20 minutes.
By accessing this page, you agree to the following
Privacy Policy and Terms and Conditions.